एम.व्ही.पी. समाजाचे

के. टी. एच. एम. महाविद्यालय, नाशिक

(के.आर.टी. कला, बी.एच. वाणिज्य व ए.एम. विज्ञान महाविद्यालय.)

MVP SAMAJ'S

K.T.H.M. COLLEGE, Nashik

(K.R.T. Arts, B.H. Commerce and A.M. Science College.)

Faculty Profile

 
Faculty Name :
Dr. Anil G. Khairnar
Specialization :
M.Sc., Ph.D., NET
Date Of Birth :
30/08/1984
Email :
Phone :
Mobile :
Address :
274 Junawane, Post- Junawane Tal &Dist- Dhule- 424311 India.

  Qualification :

Sr. No Degree University / Institution Grade Year of Passing Specialization
1. Ph.D. North Maharashtra University Jalgaon Awarded

2015

Electronics
2. NET UGC New Delhi Qualified 2013 Electronic Science
3. M.Sc. North Maharashtra University Jalgaon A 2008 Electronics
4. B.Sc. North Maharashtra University Jalgaon A+ 2005 Electronics

 

 Experience :

Name  & Address of Employer Post Held Period of service Nature of Duties
J.D.M.V.P. Samaj’s, Arts, Commerce and Science College, Jalgaon Assistant Professor 01.02.2016 to 31.03.2016 Teaching conducting practicals and to carry research
K.V.N. Naik, Arts, Commerce and Science College, Nashik Assistant Professor 07.07.2016 to 19.09.2016 Teaching conducting practicals and to carry research
Council of Scientific & Industrial Research (CSIR) New Delhi Senior Reserch Fellow 02.04.2012 to 30.04.2015 Carry research work

 

 Papers In International Refereed Journals :

Sr. No.

Title of the Paper

Name of the Journal

Volume, Year & Pages

ISSN No

National/ International

1.       1.

Preparation of Rare Earth CeO2 Thin Films Using Metal Organic Decomposition Method for Metal-Oxide- semiconductor Capacitors

Materials Science: Materials in Electronics

Accepted in April 2017

1573-482X

International

2.       2.

PEALD grown high-k ZrO2 thin films on SiC group IV compound semiconductor

Semiconductors

51 (1), 2017, 131-133

1090 -6479

International

3.       3.

Interfacial and electrical properties of Al2O3/HfO2 bilayer deposited by atomic layer deposition on GeON passivated germanium surface

Materials Research Bulletin

87, 2017, 208-213

0025-5408

International

4.

Physical characterization of hafnium oxide for CMOS technology

SPEED Journal of Research in Electronics

1, 2016, 10-12.

2349-8226

International

5.

Structural and Electrical properties of ultra-thin high-k ZrO2 film on nitride passivated Ge (100) prepared by PEALD

Materials Science in Semiconductor Processing

56, 2016, 277-281

1369-8001

International

6.

HfO2 gate dielectric on Ge(111) with ultrathin nitride interfacial layer formed by rapid thermal NH3 treatment

Applied Surface Science

364, 2016, 747-751

0169-4332

International

7.

Enhancement in mechanical properties of silica low-k thin films using wet chemical techniques

Indian Journal of Pure and Applied Physics

54(7), 2016, 439-442

0019-5596

International

8.

HfO2 high-k thin film MOS capacitors for advanced CMOS technology

Indian Journal of Physics

89(11), 2015, 1177-1181

0973-1458

International

9.

Fabrication of ALD-ZrO2/n-Ge MOS capacitors with Pt/Ti bilayer metal electrodes for advanced CMOS devices

Silicon (Springer)

8.    8(3), 2016, 345-350

1876 -9918

International

10.

Electrical properties of MOS capacitors formed by PEALD grown Al2O3 on silicon

Semiconductors (Springer)

48 (4), 2014, 497-500

1090 -6479

International

11.

Sol-gel deposited ceria thin films as gate dielectric for CMOS technology

Bulletin of Material Science

36(2), 2013, 259-263

0973 -7669

International

12.

Effect of post-deposition annealing temperature on RF-Sputtered HfO2 thin film for advanced CMOS Technology

Solid State Sciences

15, 2013, 24-28

1293-2558

International

13.

Structural and electrical characteristics of RF-sputtered HfO2 high-k based MOS capacitors

Solid State Electronics

 

62(1), 2011, 44-47

0038-1101

International

14.

Synthesis of Cerium Dioxide High-k Thin Films as a Gate Dielectric in MOS Capacitor

Journal of Nano-and Electronic Physics

5(2), 2013, 03002-1-03002-3

2306-4277

International

15.

Surface passivation of germanium using NH3 ambient in RTP for high mobility MOS structure

Journal of Nano-and Electronic Physics

5(2), 2013, 02009-1-02009-3

2306-4277

International

16.

Pt-Ti/ALD-Al2O3/p-Si MOS capacitors for future ULSI technology

Journal of Nano-and Electronic Physics

3(1), 2011, 647-650

2306-4277

International

 

 Conference/ Workshop Attended :

Sr. No Name of the Conference/ Symposium / Workshop International/ National Place Date Paper / poster Presentations (Give Title) / attended
1.

 

Advances in Materials Processing National Department of Electronics, North Maharashtra University, Jalgaon 8th March 2014 Conducton mechanism in MOS devices
2.  International Summer School 2013 (SS-2013) International The University of Tokushima, Japan  5th-11th August 2013 Happa Businees and waste management in India 
3. International Winter School for Graduates (IWSG 2009) International  IIT Bombay, Mumbai 30th Nov - 5 Dec, 2009 Attended
4. 2nd IEEE International Workshop on Electron Devices and Semiconductor Technology (IEDST-2009)  International IIT Bombay, Mumbai 1-2, June 2009 Attended
5. 2nd INUP Familiarization Workshop on Nanofabrication Technologies   IIT Bombay, Mumbai  30-31, May 2009 Attended

Conference Publications

International conferences: 13

National conferences: 18

01)     “Physical characterization of hafnium oxide for CMOS technology”, A. G. Khairnar, V.S. Patil, K.S. Agrawal, R.S. Salunke and A.M. Mahajan, presented in National Conference on NCEMTFA-2016 at JDMVPs, ACS College, Jalgaon during 9-10 December 2016, abstract published on pp 15.

02)      “High Permittivity HfO2 Thin Films on Surface Passivated Germanium”, V.S. Patil, K.S. Agrawal, R.S. Salunkhe, Anil G. Khairnar and A.M. Mahajan, presented and published in proceedings of IWPSD-2015 held at IISC Bangalore during 7-10 Dec 2015 abstract published on pp. 279.

03)      “Interfacial Properties of ALD-HfO2 deposited on surface nitrided Germanium (111)”, K. Agrawal, V. Patil, V.N. Barhate, A.G. Khairnar and A.M. Mahajan, presented and published in proceedings of IWPSD-2015 held at IISC Bangalore during 7-10 Dec 2015 abstract published on pp. 271.

04)     “Hafnium oxide deposited by ALD on nitride passivated Ge (100) substrate”, K. Agrawal, V.S. Patil, Anil G Khairnar, A.M. Mahajan, Presented and published in proceedings of in e-MRS 2015 held at Poland during 14-17 Sept 2015.

05)     “PEALD grown high-k ZrO2 tin films on SiC III-V compound semiconductor”, Anil G. Khairnar, Vilas S. Patil and A. M. Mahajan, presented and published in proceedings of 3rdInternational Symposium on Semicondutor Materials and Devices (ISSMD-3) held at Anna University, Chennai India during 2-5 February 2015 abstract published on pp. 94.

06)     Effect of Annealing on Current Conduction Mechanism in High-k Dielectric Thin Films Deposited on Silicon Substrates, Anil G. Khairnar, Pranita A. Deshmukh, V. S. Patil, K. S. Agrawal and A. M. Mahajan, Presentedin Pacific Rim Symposium on Surfaces, Coatings and Interfaces (PacSurf 2014), held on December 7 through December 11, 2014 at Kohala Coast, HI, USA, abstract published on pp. 87.

07)     “Metal organic decomposed cerium dioxide high-k thin films for CMOS applications”,K. S. Agrawal, V. S. Patil, A. G. Khairnar and A. M. Mahajan, presented in National conference on Advances in electronics and its interdisciplinary applications(NCAEIA-2014) held at Fergusson college, Pune on 19-20thSept 2014 abstract published on pp. 342.

08)     “Comparative study of current conduction mechanism in high-k dielectric thin films deposited on silicon substrates”, Pranita A. Deshmukh, K. S. Agrawal, Anil G. Khairnar and A. M. Mahajan, presented in National Conference on 'Recent Trends in Mathematics, Physics and their Applications' (NCRTMPA - 2014), organized by the Departments of Mathematics and Physics, Shankarlal Khandelwal Arts, Science and Commerce College, Akola (M.S.) on 19th March, 2014 abstract published on pp.227.

09)     “Atomic Layer Deposition system for controlled deposition of thin films in CMOS technology” Vilas S. Patil, Viral N. Barhate, Anil G. Khairnar, A. M. Mahajan presented in National Conference on 'Recent Trends in Mathematics, Physics and their Applications' (NCRTMPA - 2014), organized by the Departments of Mathematics and Physics, Shankarlal Khandelwal Arts, Science and Commerce College, Akola (M.S.) on 19th March, 2014abstract published on pp.228.

10)     “Investigation of current conduction mechanism in HfO2 thin film on silicon substrate”, Anil G. Khairnar, K. S. Agrawal, V. S. Patil and A. M. Mahajan, presented in IWPSD-2013 held at Amity University, Noida, Uttar Pradesh during December 10-13, 2013.

11)     “Capacitance-Voltage measurement of SiO2/GeOxNy gate stack on surface passivated germanium”, Anil G. Khairnar and A. M. Mahajan, presented in IWPSD-2013 held at Amity University, Noida, Uttar Pradesh during December 10-13, 2013.

12)     “Surface passivation of germanium using NH3 ambient in RTP for high mobility MOS structure”, Anil G. Khairnar, Y. S. Mhaisagar and A. M. Mahajan, presented and published inproceedings of Second International Symposium on Semiconductor Materials and Devices (ISSMD-2) held at University of Jammu, India during31 Jan-2 Feb 2013on pp. 255-258.

13)     “Effect of surface modification on properties of silica low-k thin films for nanoelectronics applications”, Y. S. Mhaisagar, A.S. Gaikwad, Anil G. Khairnar, and A. M. Mahajan, presented and published in proceedings of Second International Symposium on Semiconductor Materials and Devices (ISSMD-2) held at University of Jammu, India during 31 Jan-2 Feb 2013 on pp. 259-261.

14)     “Conceptual designing of atomic layer deposition system for deposition of high dielectric constant thin films”, V. S. Patil, K. S. Agrawal, Anil G. Khairnar, L. S. Patil and A. M. Mahajan, presented in National Seminar on “Advances in Nano-structured Materials and their Applications” held at Department of Physics, A.S. Mandals Arts and Commerce College Trusts, C.H.C. Arts, S.G.P. Commerce and B.B.J.P. Science College Taloda, Dist. Nandurbar (M.S.) – 425413 India during 22-23 January 2013 abstract published on pp.49.

15)     “Sol-gel derived TiO2 thin films deposited on silicon and glass substrates”, Sunil N. Bhakade, Dipak N. Jawale, Anil G. Khairnar, L. S. Patil and A. M. Mahajan, presented in National Conference on IDRIS 2012at ACS College, Navapur during 6-7 Oct. 2012, abstract published on pp. 37.

16)     “Cerium dioxide thin films deposited on silicon and glass substrates using sol-gel method”, Dipak N. Jawale, Priyanka P. Patil, Anil G. Khairnar, L.S. Patil and A. M. Mahajan, presented in National Conference on IDRIS 2012 at ACS College, Navapur during 6-7 Oct. 2012, abstract published on pp. 32.  

17)     “Fabrication and Electrical characterization of novel Pt/Ti/ALD ZrO2/ n-Ge MOS capacitors”, A. M. Mahajan, Anil G. Khairnar, Brian J. Thibeault, presentedinICFSI-13   held at Prague, Czech Republic, during 3-8, July- 2011 and published in abstract book on pp-179 paper ID-17501.

18)      "Cerium oxide dielectric thin film for nanoelectronics devices", Naeem H. Momin, Megha B. Patil, Anil G. Khairnar, Pravin Tirmali, A.M. Mahajan, Presented and published in national conference NCNN-2011 , North Maharashtra University, Jalgaon held on 19thMarch 2011.

19)     “Fabrication and characterization of Al/HfO2/Si MOS capacitor for future technology” Anil G. Khairnar, Pravin M. Tirmali , A. M. Mahajan, Presented and published in national conference on “Materials & Devices for Future Technology, North Maharashtra University, Jalgaon held on 7th March 2011.

20)     “Analysis of capacitance voltage measurement of the fabricated MOS capacitors for advanced CMOS technology”, Megha B. Patil, Naeem H. Momin, Anil G. Khairnar, Pravin Tirmali, A.M. Mahajan, Presented and published in national conference on “Materials & Devices for Future Technology, North Maharashtra University, Jalgaon held on 7th March 2011.

21)     “Effect of annealing temperature on transmittance of the CeO2 thin films”, Naeem H. Momin, Megha B. Patil, Gitali Mapari, Anil G. Khairnar, Pravin Tirmali, A.M. Mahajan, Presented and published in national conference on “Materials & Devices for Future Technology, North Maharashtra University, Jalgaon held on 7th March 2011.

22)      “Design and development of RTP system for rapid thermal annealing in device fabrication technology”, Anil Sonawane, Bhushan Pawar, Chetan Kasar, Anil G. Khairnar, Y. Mhaisagar and A. M. Mahajan, Presented and published in national conference on “Materials & Devices for Future Technology, North Maharashtra University, Jalgaon held on 7th March 2011.

23)     “Pt-Ti/ALD-Al2O3/p-Si MOS capacitors for future ULSI technology”, A.M. Mahajan, Anil G. Khairnar, Brian J. Thibeault, presented and published in proceedings of International Symposium on Semiconductor Materials and Devices (ISSMD 2011)held at The M. S. University of Baroda, Vadodara, during 28-30, January 2011”.

24)     Deposition and characterization of cerium oxide thin films, Naeem H. Momin, Megha B. Patil, Anil G. Khairnar and A. M. Mahajan, Presented and abstract published in national conference NCRMS-2011, Bhusawal during 22-23 Jan 2011.

25)    “Cerium dioxide high-k thin films derived from sol gel route as a gate dielectric in advanced high-k/metal gate stack”, Anil G. Khairnar, Vijaya B. Toke and A.M. Mahajan, presented and published in Material Research Symposium (MRS-2010) held at San Fransico, CA,USA during April 2010 on pp. 125.

26)    “High dielectric oxide for carrier mobility enhancement of pentacene based thin film transistor”, Anil Khairnar, Pravin Tirmali, Priti Borkar and A. M. Mahajan, Presented and published in Emerging trends in materials science and communications (ETMSC-2010) held at Department of Physics, Mahatma Gandhi Mahavidyalaya , Ahmedpur, Dist: Latur (MS) during 13th-14thMarch 2010 on pp. 103.

27)    “Optical properties of Ceria thin film by spray pyrolysis technique”, Pravin M. Tirmali, Anil G. Khairnar, Ratnamala Chadwadkar, Bhagyashree Wagh, and A. M. Mahajan Bio-nano Frontiers special issue on Advancement in nanoscience for different technologies (2010) pp. 198-200.

28)    “Synthesis of Cerium dioxide thin films as high-k gate oxide for ULSI technology”, Anil G. Khairnar, Bhagyashree Wagh, Pravin M. Tirmali and A. M. Mahajan Bio-nano Frontiers special issue on Advancement in nanoscience for different technologies (2010) pp. 136-139.

29)    “Sol gel spin coated cerium dioxide thin films as gate oxide for CMOS technology”, Bhagyashree Wagh, Ratnamala Chadwadkar, Anil G. Khairnar, Vijaya Toke and A. M. Mahajan, presented and published in abstract book of  National conference on materials for energy storage and conversion (NCMESC-2010) held at Department of Physics, ShriVenkateshwara University, Tirupati during 23rd -24th  Jan.  2010 on pp.24.

30)    “Preparation and characterization of CeO2 thin films deposited by spray pyrolysis technique”, Ratnamala Chadwadkar, Bhagyashree Wagh, Anil G. Khairnar, Pravin Tirmali and A. M. Mahajan, presented and published in abstract book of  National conference on materials for energy storage and conversion (NCMESC-2010) held at Department of Physics, Shri Venkateshwara University, Tirupati during 23rd -24th  Jan. 2010 on pp. 22.

“Influence of catalyst concentration on EOT of CeO2 for advanced gate stack technology”, Anil G. Khairnar and A. M. Mahajan, presented and published in proceedings of  International Conference on MEMS and optoelectronics technology (ICMOT -2010) held at Swarnandhra College of Engineering and Technology, Narsapur during 22nd-23rdJan. 2010 on pp. 80.

 Major/ Minor Research Project :

Sr. No Name of the faculty Title of Project and File No. Name of funding agency Grant received (Rs) Minor/ Major Period
           

 Books Published :

Articles in Book:

1.    Anil G. Khairnar, Vilas S. Patil and Ashok M. Mahajan, “Capacitance-Voltage measurement of SiO2/GeOxNy gate stack on surface passivated germanium”, Physics of Semiconductor Devices, Springer International Publishing Switzerland, pp. 9-11, 2014.

2.    Anil G. Khairnar, Khushabu S. Agrawal, Vilas S. Patil and Ashok M. Mahajan, “Investigation of current conduction mechanism in HfO2 thin film on silicon substrate”, Physics of Semiconductor Devices, Springer International Publishing Switzerland, pp. 25-27, 2014.

 Other :

Awards and Honors:

1. Worked as a Chairperson in First International Conference on Advanced Materials for Power Engineering (ICAMPE 2015) held at Kottayam, Kerala for session on SOL-GEL technology.

2. Worked as reviewer for Journal of Silicon and Journal of Vacuum Science & Technology A.

3. Worked as reviewer for Third International Conference on Information Systems Designs and Intelligent Applications (INDIA-2016) for the proceeding published by Springer.

4. Qualified National Eligibility Test (NET) for Lectureship conducted by University Grants Commission, New Delhi in March 2013.

5. Awarded Senior Research Fellowship by Council of Scientific and Industrial Research, New Delhi.

6. Awarded Certificate of Excellence by the Board of Editors Bionano Frontier ISSN 0974-0678 for outstanding contribution/presentation in the field of ULSI technology in National conference on Advancements in Nano-Science for Different Technologies held at Shrikrishna Mahavidyalaya, Gunjoti, Dist-Osmannabad (M.S.) on 11th February 2010.

7. Awarded Certificate of Merit for securing Third rank in order of Merit among the 39 candidates in M.Sc. (Electronics) examination by North Maharashtra University, Jalgaon on 26th December 2008.

8. Invited as a Guest Lecturer for remedial teaching at Dr. A. G. D. Bendale Mahila Mahavidylaya, Jilha Peth Jalgoan Maharashtra India.

Membership of Professional Bodies:

1.   American Vacuum Society Membership (AVS Member ID: 010820).

2.   Life Member Semiconductor Society of India (Membership No.- 201403661).

Back
 
Visitor Number:

Label Designed and Developed by - Computer Science Department